Vai al contenuto

Primario: Sky Slate Blackcurrant Watermelon Strawberry Orange Banana Apple Emerald Chocolate Marble
Secondario: Sky Slate Blackcurrant Watermelon Strawberry Orange Banana Apple Emerald Chocolate Marble
Sfondo: Blank Waves Squares Notes Sharp Wood Rockface Leather Honey Vertical Triangles
Corsi di Laurea










ROX @ Unisa - Forum degli studenti di Ingegneria utilizza i cookie. Se prosegui la navigazione accetti il loro uso.    Accetto l'uso dei cookie
-->
Foto

help tb


  • Effettua l'accesso per rispondere
Questa discussione ha avuto 17 risposta/e

#1
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:
ciao,
anche se da quello che ho letto nel forum ho capito che quando vado a fare il tb devo scegliere arbitrariamente i ns di attesa
non capisco come faccio a stabilire tutte le combinazioni...
ci sarà un criterio? non penso che la scelta del tempo di attesa sia completamente arbitrario giusto?
nel senso magari il clock deve avere tempi di attesa più piccoli o magari devo tener conto anche del fatto che in presenza di reset sincrono o asincrono cambia
la logica di attesa....
in poche parole sono in altro mare ....
dunque se magari qualcuno mi spiega come sceglie i tempi come interpreta la traccia o se quest'ultima non va considerata...
insomma qualsiasi cosa per avere un minimo di conoscenza e non fare le cose meccanicamente il che non è mai positivo...
:beg: :beg: :beg: :beg:



#2
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:
nessuno??? :beg: :beg: :beg: :beg:

#3
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:
:mirror: :mirror: :mirror: :mirror:

#4
cowgirl_from_hell

cowgirl_from_hell

    Advanced Member

  • Utente
  • StellaStellaStella
  • 217 Messaggi:
per ottenere tutte le combinazioni di bit ti consiglio di disegnare le forme d'onda e regolarti in base ad esse.. mi spiego meglio:

Immagine inviata

il test bench è un "banco di prova", quindi tu non fai altro che provare le varie combinazioni testare il tuo automa.
Se ad es. devi inserire un reset che azzera l'uscita a prescindere da quale sia il valore del clock la logica è: provo a vedere come si comporta l'uscita quando:
- reset = alto , clock = basso ---> l'uscita va a 0
- reset = alto , clock = alto ----> l'uscita va a 0
- reset = basso , clock = basso ----> il reset non ha effetto sull'uscita
- reset = basso , clock = alto ----> il reset non ha effetto sull'uscita

.. produci le varie combinazioni e vedi se l'uscita corrisponde a ciò che ti aspettavi..

Immagine inviata

Ovviamente non esiste un metodo canonico per determinare i tempi del test bench, devi regolarti tu in base alle specifiche dell'automa!
disegnando le forme d'onda riuscirai facilmente ad emulare tutti i possibili comportamenti dell'automa..

(i disegnini sono un po' pietosi ahahahahahah spero di essere stata chiara :D)

#5
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:
grazieeeeeeeeeeeeeeeeeeeeeeeeeeee
davvero chiarissima fingerup fingerup fingerup

#6
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:
Approfitto della tua disponibilità e gentilezza dal momento che mi rimane (si spera) , quest'ultimo dubbio sul tb:
ho preso un compito svolto scaricato da r0x :
ecco la traccia:
Progettare in VHDL un registro a 8 bit, con reset asincrono.
Ogni segnale del bus Z, assume il valore dell’omologo segnale del bus X, in modo
sincrono rispetto al segnale di clock. Quando il segnale di reset assume il valore ‘1’,
l’uscita assume il valore “00000000” in modo asincrono rispetto al segnale di clock.
La funzionalità dell’operazione di reset deve essere prioritaria rispetto a qualsiasi
altra funzionalità del registro e asincrona rispetto al segnale di clock. La entity è la
seguente:

entity reg8_as_reset is
port(X: in bit_vector(7 downto 0);
clock: in bit;
reset: in bit;
Z: out bit_vector(7 downto 0)
);
end reg8_as_reset;

Ed ecco l'architecture:


architecture behav of reg8_as_reset is
begin
p1: process(clock, reset)
variable i: integer;
begin
if(reset = '1') then
Z <= "00000000";
else
if(clock'event and clock = '1') then
for i in 7 downto 0 loop
Z(i) <= X(i);
end loop;
end if;
end if;
end process;
end behav;

INFINE IL TB:

entity reg8TB is
end reg8TB;
architecture test of reg8TB is
component reg8_as_reset
port(X: in bit_vector(7 downto 0);
clock, reset: in bit;
Z: out bit_vector(7 downto 0)
);
end component;
signal X, Z: bit_vector(7 downto 0);
signal clk, rst: bit;
begin
uut: reg8_as_reset port map(X, clk, rst, Z);
pX: process
begin
X <= "00000000";
wait for 50 ns;
X <= "11111111";
wait for 50 ns;
X <= "01010101";
wait for 50 ns;
X <= "10101010";
wait for 50 ns;
end process;

MI CHIEDEVO COME MAI IN QUESTO ESERCIZIO QUANDO VADO A FARE IL TB NON STIMOLO IL CLOCK ED IL RESET MA SOLO X...

please helpppppppp :beg: :beg: :beg:

#7
cowgirl_from_hell

cowgirl_from_hell

    Advanced Member

  • Utente
  • StellaStellaStella
  • 217 Messaggi:
perché nel TB non hai incluso i processi per clock e reset XDDDDDDDDD ogni variabile per cambiare ha bisogno di un processo!

#8
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:

perché nel TB non hai incluso i processi per clock e reset XDDDDDDDDD ogni variabile per cambiare ha bisogno di un processo!


ecco infatti era proprio questo che intendevo poichè ti ho riportato un esercizio svolto che ho scaricato mi faceva strano che non fossero inclusi i processi che stimolassero anche il clock ed il reset :D
ok quindi dubbio chiarito forse una dimenticanza nell'esercizio che ho scaricato.
Ma quando vado a stimolare segnali binari ok può essere 1 o 0
e quando invece vado a stimolare bit_vector e std_logic_vector per esempio a 8 bit come mi comporto?
ci sarebbero troppe configurazioni da considerare!!!
sicuramente mi dirai che devo prendere le più significative ma come faccio a stabilire le più significative?
per esempio
00000000
11111111
10101010
01010101
può bastare? o cambia in base alla traccia?
grazieeeeeeeeeeeeee

#9
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:
nessuno... please domani c'è l'esame....

#10
cowgirl_from_hell

cowgirl_from_hell

    Advanced Member

  • Utente
  • StellaStellaStella
  • 217 Messaggi:
scusami se rispondo solo ora ma ieri sono stata all'uni per un esame! cmq solitamente si inseriscono 5/6 combinazioni casuali, però a seconda della traccia potrebbe essere opportuno sceglierne qualcuna in particolare (ad es. se stai simulando il comportamento di un addizionatore a 4 bit (o cmq n bit) è positivo provare ad addizionare due stringhe "0111" "0111" per vedere se il fattore riporto funziona, o due stringhe "1111" "1111" per vedere se va in overflow).. spero di essere stata chiara! :)

#11
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:
chiarissima ma sopratutto in perfetto orario tra un pò sarei andato a fare l'esame :D
vabbè allora grazie di cuore per tutto e speriamo che va tutto bene...
spero ti sia andato bene l'esame ieri!
grazieeeeeeeee

#12
cowgirl_from_hell

cowgirl_from_hell

    Advanced Member

  • Utente
  • StellaStellaStella
  • 217 Messaggi:

chiarissima ma sopratutto in perfetto orario tra un pò sarei andato a fare l'esame :D
vabbè allora grazie di cuore per tutto e speriamo che va tutto bene...
spero ti sia andato bene l'esame ieri!
grazieeeeeeeee


in bocca al lupooooooooo :) l'esito del mio esame (ASD) lo saprò domani ma credo sia andato bene.. fammi sapere come va! ;)

#13
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:

in bocca al lupooooooooo :) l'esito del mio esame (ASD) lo saprò domani ma credo sia andato bene.. fammi sapere come va! ;)


l'ho fatto e a dire il vero non sembrava nemmeno difficile... però penso proprio di aver fatto un casino con l'automa... o almeno il prof. ha detto che non va bene
ho provato a correggerlo ma non saprei...
richiedeva di controllare l'acqua di una cisterna;
per il resto vhdl tb e domande le ho fatte bene o almeno si spera...
secondo te con automa sbagliato e il resto bene posso averlo passato?

#14
qwerty1991

qwerty1991

    Advanced Member

  • Utente
  • StellaStellaStella
  • 612 Messaggi:
Scusami se mi intrometto nel tuo tete a tete con cowgirl( (L) ).
Se hai fatto bene le k-mappe ed il circuito,anche le tabelle delle transizioni e delle implicazioni,
il VHDL ed il testbench ed ha risposto bene a qualche domande, perchè no?
Forse non arriverai a 30 ma credo che la sufficienza proprio di si,al massimo ammesso con riserva.

Cmq non vorrei illuderti.

P.S. Continua studiare :book: :book: :book:

Vaffanc**o Facebook,ha distrutto r0x...

 

Disco sucks


#15
Mik99

Mik99

    Advanced Member

  • Utente
  • StellaStellaStella
  • 206 Messaggi:

in bocca al lupooooooooo :) l'esito del mio esame (ASD) lo saprò domani ma credo sia andato bene.. fammi sapere come va! ;)


l'ho fatto e a dire il vero non sembrava nemmeno difficile... però penso proprio di aver fatto un casino con l'automa... o almeno il prof. ha detto che non va bene
ho provato a correggerlo ma non saprei...
richiedeva di controllare l'acqua di una cisterna;
per il resto vhdl tb e domande le ho fatte bene o almeno si spera...
secondo te con automa sbagliato e il resto bene posso averlo passato?


all' appello di giugno anche io sbagliai l'automa ma feci perfettamente sia vhdl che la teoria..il prof. mi mise 26..
per cui non preoccuparti..da quanto so il buon Della Cioppa si e' sempre comportato bene finora..
l'unica nota stonata e' che non da la possibilita' di migliorare il voto all'orale: "esperienza personale".. :gha:
No pain no gain

#16
pocho77

pocho77

    Advanced Member

  • Utente
  • StellaStellaStella
  • 169 Messaggi:

all' appello di giugno anche io sbagliai l'automa ma feci perfettamente sia vhdl che la teoria..il prof. mi mise 26..
per cui non preoccuparti..da quanto so il buon Della Cioppa si e' sempre comportato bene finora..
l'unica nota stonata e' che non da la possibilita' di migliorare il voto all'orale: "esperienza personale".. :gha:


speriamo!!!!!ma non ti fa migliorare vuol dire che non ti chiama proprio all'orale o non aumenta il voto?

#17
Mik99

Mik99

    Advanced Member

  • Utente
  • StellaStellaStella
  • 206 Messaggi:

speriamo!!!!!ma non ti fa migliorare vuol dire che non ti chiama proprio all'orale o non aumenta il voto?


no no,all'orale ti chiama sempre(per convalidare l'esame e per visionare il compito),ma a mio avviso non da la possibilita' di aumentare il voto,con qualche domandina ecc ecc..quando chiesi se era possibile migliorarmi si mise cavillosamente a riguardare tutto il compito alla ricerca di piccoli errori,e commentava gli stessi(es: nel tb scrissi reset invece di load,e mi disse:<> :gha: )
ma va bene lo stesso..non mi importa..
No pain no gain

#18
qwerty1991

qwerty1991

    Advanced Member

  • Utente
  • StellaStellaStella
  • 612 Messaggi:
Sono usciti i risultati dell'appello di luglio!!! :ahsisi: :ahsisi: :ahsisi:

http://nclab.diiie.u... ... isTest.pdf

Vaffanc**o Facebook,ha distrutto r0x...

 

Disco sucks





Leggono questa discussione 0 utenti

0 utenti, 0 ospiti, 0 utenti anonimi