Corsi di Laurea
ROX @ Unisa - Forum degli studenti di Ingegneria utilizza i cookie. Se prosegui la navigazione accetti il loro uso.
Accetto l'uso dei cookie
entity reg8_as_reset is
port(X: in bit_vector(7 downto 0);
clock: in bit;
reset: in bit;
Z: out bit_vector(7 downto 0)
);
end reg8_as_reset;
architecture behav of reg8_as_reset is
begin
p1: process(clock, reset)
variable i: integer;
begin
if(reset = '1') then
Z <= "00000000";
else
if(clock'event and clock = '1') then
for i in 7 downto 0 loop
Z(i) <= X(i);
end loop;
end if;
end if;
end process;
end behav;
entity reg8TB is
end reg8TB;
architecture test of reg8TB is
component reg8_as_reset
port(X: in bit_vector(7 downto 0);
clock, reset: in bit;
Z: out bit_vector(7 downto 0)
);
end component;
signal X, Z: bit_vector(7 downto 0);
signal clk, rst: bit;
begin
uut: reg8_as_reset port map(X, clk, rst, Z);
pX: process
begin
X <= "00000000";
wait for 50 ns;
X <= "11111111";
wait for 50 ns;
X <= "01010101";
wait for 50 ns;
X <= "10101010";
wait for 50 ns;
end process;
perché nel TB non hai incluso i processi per clock e reset XDDDDDDDDD ogni variabile per cambiare ha bisogno di un processo!
chiarissima ma sopratutto in perfetto orario tra un pò sarei andato a fare l'esame
vabbè allora grazie di cuore per tutto e speriamo che va tutto bene...
spero ti sia andato bene l'esame ieri!
grazieeeeeeeee
in bocca al lupooooooooo l'esito del mio esame (ASD) lo saprò domani ma credo sia andato bene.. fammi sapere come va!
Vaffanc**o Facebook,ha distrutto r0x...
Disco sucks
in bocca al lupooooooooo l'esito del mio esame (ASD) lo saprò domani ma credo sia andato bene.. fammi sapere come va!
l'ho fatto e a dire il vero non sembrava nemmeno difficile... però penso proprio di aver fatto un casino con l'automa... o almeno il prof. ha detto che non va bene
ho provato a correggerlo ma non saprei...
richiedeva di controllare l'acqua di una cisterna;
per il resto vhdl tb e domande le ho fatte bene o almeno si spera...
secondo te con automa sbagliato e il resto bene posso averlo passato?
all' appello di giugno anche io sbagliai l'automa ma feci perfettamente sia vhdl che la teoria..il prof. mi mise 26..
per cui non preoccuparti..da quanto so il buon Della Cioppa si e' sempre comportato bene finora..
l'unica nota stonata e' che non da la possibilita' di migliorare il voto all'orale: "esperienza personale"..
speriamo!!!!!ma non ti fa migliorare vuol dire che non ti chiama proprio all'orale o non aumenta il voto?
Vaffanc**o Facebook,ha distrutto r0x...
Disco sucks
0 utenti, 0 ospiti, 0 utenti anonimi